体重秤厂家
免费服务热线

Free service

hotline

010-00000000
体重秤厂家
热门搜索:
技术资讯
当前位置:首页 > 技术资讯

瞧一瞧:新思科技数字定制设计平台已获台积公司N3制程技术认证-电子发烧友网

发布时间:2022-04-12 02:04:01 阅读: 来源:体重秤厂家
新思科技数字定制设计平台已获台积公司N3制程技术认证-电子发烧友网

新思科技平台提供强化功能,以支持台积公司N3和N4制程的新要求

新思科技Fusion设计平台能够提供更快的时序收敛,并确保从综合到时序和物理签核的全流程相关性 ,可显著提高生产力

新思科技(Synopsys)近日宣布其数字定制设计平台已获台积公司N3制程技术认证,双方将共同优化下一代芯片的功耗、性能和面积(PPA)。基于多年的密切合作,本次经严格验证的认证是基于台积公司最新版本的设计规则手册(DRM)和制程设计套件(PDK)。此外,新思科技的数字和定制设计平台还通过了台积公司N4制程的认证。

“多年的密切合作使得新思科技的设计平台解决方案在台积公司最先进工艺上获得了认证。在下一代HPC、移动、5GAI等应用领域,我们将共同协助客户实现PPA优化,帮助客户快速将创新产品推向市场。”

——Suk Lee

台积公司设计基础设施

管理事业部副总经理

该数字设计流程以新思科技Fusion设计平台为基础,采用最新的先进技术确保更快的时序收敛,并实现了从综合到布局布线、再到时序及物理签核的全流程相关性。该平台得到强化后,将提供性能更佳的综合和全局布局器引擎,从而优化库单元选择和布局结果。为支持台积公司的超低电压设计收敛,新思科技对优化引擎进行了改进,以便使用全新的内存优化算法。这些新技术是两家公司战略合作的成果,将为采用台积公司N3制程的设计带来PPA的大幅提升。

Custom Compiler设计和版图解决方案是新思科技Custom Design Platform的一部分,能够为使用台积公司先进制程技术的开发者提供更高的生产力。Custom Compiler的诸多强化功能可减少为了满足N3技术要求而投入的工作量,已获得诸多早期采用N3制程的用户的验证,这其中也包括新思科技DesignWare IP团队。新思科技PrimeSim Continuum解决方案中的PrimeSim HSPICE、PrimeSim SPICE、PrimeSimPro和PrimeSimXA仿真器,可缩短基于台积公司N3制程的设计迭代时间,并为电路仿真和可靠性要求提供签核。

“通过与台积公司的持续合作,我们为采用台积公司先进的N3制程技术的设计提供了高度定制化的解决方案,让客户对成功设计出复杂的SoC更有信心。基于这些技术创新,开发者可以充分利用在PPA方面的重大提升,实现下一代HPC、移动、5G和AI芯片设计。”

——Shankar Krishnamoorthy

新思科技数字设计事业部总经理

新思科技设计平台中的以下关键产品已经强化,可满足制程技术要求:

数字设计解决方案

Fusion Compiler RTL-to-GDSII解决方案

Design Compiler NXT综合解决方案

IC Compiler II布局布线解决方案

签核

PrimeTime时序签核解决方案

PrimePower功耗分析

StarRC寄生参数提取签核

IC Validator物理验证解决方案

Tweaker ECO收敛解决方案

NanoTime定制电路时序签核

ESP划拨土地与出让土地拆迁哪个划算
-CV定制电路功能验证

QuickCap NX寄生参数提取3D现场求解器

SPICE仿真和定制设计

PrimeSim HSPICE、PrimeSim SPICE和PrimeSim Pro仿真解决

方案

PrimeSim XA可靠性分析

Custom Compiler定制电路设计

责任编辑:haq